70 Star 322 Fork 77

GVPXiangShan / XiangShan

标签名
描述
提交信息
操作

The second generation of XiangShan (NANHU)

2023-02-05 09:03

XiangShan-2 (NANHU) with DFT and SoC enhancements

This is the second generation of XiangShan (NANHU)
with some DFT and SoC enhancements.

  • SCAN, shared bus MBIST support
  • Update memory map for larger physical address space
  • Fix real-time clock for CLINT
  • Add support for external reset vector
  • Other fixes and enhancements
2023-02-05 09:04
2021-06-04 14:49

XSCore is now RTL-freezed for tapeout unless we find any critical bug.

2021-03-14 11:13
2021-02-03 21:58

stable version that is able to boot Debian

2020-12-30 10:16

a stable version at the end of 2020

2021-01-01 13:42
2020-12-30 10:16
Scala
1
https://gitee.com/OpenXiangShan/XiangShan.git
git@gitee.com:OpenXiangShan/XiangShan.git
OpenXiangShan
XiangShan
XiangShan

搜索帮助