4 Star 1 Fork 0

1026508651 / 数电实验_药片计数器

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
克隆/下载
~$模块分配2.docx 162 Bytes
kafudolly 提交于 2022-04-12 18:21 . med_total模块完成
VHDL
1
https://gitee.com/huazhong_xuan/shudian_medicinecount.git
git@gitee.com:huazhong_xuan/shudian_medicinecount.git
huazhong_xuan
shudian_medicinecount
数电实验_药片计数器
master

搜索帮助