4 Star 1 Fork 0

1026508651 / 数电实验_药片计数器

Tags
Releases 功能基于仓库中的历史标记

建议使用类似 V1.0 的版本标记作为 Releases 点。

VHDL
1
https://gitee.com/huazhong_xuan/shudian_medicinecount.git
git@gitee.com:huazhong_xuan/shudian_medicinecount.git
huazhong_xuan
shudian_medicinecount
数电实验_药片计数器

搜索帮助