70 Star 322 Fork 77

GVPXiangShan / XiangShan

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
克隆/下载
build.sbt 2.02 KB
一键复制 编辑 原始数据 按行查看 历史
ljwljwljwljw_f074 提交于 2021-07-03 09:34 . Add sbt build support (#857)
val chiselVersion = "3.4.3"
scalaVersion := "2.12.10"
lazy val commonSettings = Seq(
scalacOptions ++= Seq("-deprecation","-unchecked","-Xsource:2.11"),
libraryDependencies ++= Seq("org.scala-lang" % "scala-reflect" % scalaVersion.value),
libraryDependencies ++= Seq("org.json4s" %% "json4s-jackson" % "3.6.1"),
libraryDependencies ++= Seq("org.scalatest" %% "scalatest" % "3.2.0" % "test"),
addCompilerPlugin("org.scalamacros" % "paradise" % "2.1.0" cross CrossVersion.full),
resolvers ++= Seq(
Resolver.sonatypeRepo("snapshots"),
Resolver.sonatypeRepo("releases"),
Resolver.mavenLocal
)
)
lazy val chiselSettings = Seq(
libraryDependencies ++= Seq("edu.berkeley.cs" %% "chisel3" % chiselVersion),
addCompilerPlugin("edu.berkeley.cs" % "chisel3-plugin" % chiselVersion cross CrossVersion.full)
)
lazy val `api-config-chipsalliance` = (project in file("api-config-chipsalliance/build-rules/sbt"))
.settings(commonSettings)
lazy val hardfloat = (project in file("berkeley-hardfloat"))
.settings(commonSettings, chiselSettings)
lazy val rocketMacros = (project in file("rocket-chip/macros"))
.settings(commonSettings)
lazy val `rocket-chip` = (Project("rocket-chip", file("rocket-chip/src")))
.settings(commonSettings, chiselSettings)
.settings(
scalaSource in Compile := baseDirectory.value / "main" / "scala",
resourceDirectory in Compile := baseDirectory.value / "main" / "resources"
)
.dependsOn(rocketMacros)
.dependsOn(`api-config-chipsalliance`)
.dependsOn(hardfloat)
lazy val `block-inclusive-cache` = (project in file("block-inclusivecache-sifive"))
.settings(commonSettings, chiselSettings)
.settings(
scalaSource in Compile := baseDirectory.value / "design" / "craft" / "inclusivecache",
)
.dependsOn(`rocket-chip`)
lazy val chiseltest = (project in file("chiseltest"))
.settings(commonSettings, chiselSettings)
lazy val xiangshan = (Project("XiangShan", base = file(".")))
.settings(commonSettings, chiselSettings)
.dependsOn(`rocket-chip`, `block-inclusive-cache`, chiseltest)
Scala
1
https://gitee.com/OpenXiangShan/XiangShan.git
git@gitee.com:OpenXiangShan/XiangShan.git
OpenXiangShan
XiangShan
XiangShan
master

搜索帮助